CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 延时

搜索资源列表

  1. DELAY1

    0下载:
  2. 本程序以ISE为开发平台,采用VHDL为开发语言,实现了对一个时钟信号延时的功能-the procedures to ISE for the development platform for the development of VHDL language, Implementation of a clock signal delay function
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1325308
    • 提供者:刘小军
  1. MEALY

    1下载:
  2. 状态机设计,用VHDL进行MEALY型状态机的设计。由于两个程序本身有延时现象,本实验进行了改进。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:157438
    • 提供者:wang
  1. diantikongzhiqi

    0下载:
  2. 本设计是本人的课程设计,基于VHDL的电梯控制器的设计,能够实现12层电梯控制,上下开关,关门延时,提前关门,状态显示,通过波形仿真进行观看结果
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:69203
    • 提供者:polly
  1. Mean_64

    0下载:
  2. 原创代码,采用VHDL实现的64点均值滤波。实验测试过,效果良好。可轻松修改成任意点数均值滤波。采用了多点滑动运算,减小了输出延时,最大为3个时钟延迟。可用于AD采样后的滤波处理。
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:1843
    • 提供者:M
  1. programe

    0下载:
  2. 项目程序,vhdl+C语言 开关等时性测量,测量多子开关的延时时间-Project procedures, vhdl+ C language switch isochronism measured Multi-switch delay time
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:507374
    • 提供者:xbr
  1. CRC

    0下载:
  2.  本文提出一种通用的CRC 并行计算原理及实现方法,适于不同的CRC 生成多项式和不同并行度(如8 位、16 位、及32 位等) ,与目前已采用的查表法比较,不需要存放余数表的高速存储器,减少了时延,且可通过增加并 行度来降低高速数传系统的CRC 运算时钟频率.-In this paper, a universal principle of CRC and implementation of parallel computing methods for generating differ
  3. 所属分类:Project Design

    • 发布日期:
    • 文件大小:144382
    • 提供者:黑月
  1. 8bit_LED_scan

    0下载:
  2. 8位七段码动态扫描控制VHDL设计。动态扫描模块,延时模块,译码模块。设计采用状态机思路。-The design of scan display of 8bit-segment-LCD based on VHDL
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:851
    • 提供者:Yang
  1. MC14490

    0下载:
  2. MC14490 延时消抖IC的VHDL实现-Consumer IC Buffeting MC14490 delay the realization of the VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:127591
    • 提供者:张阳
  1. DE2_Default

    0下载:
  2. 延时一个 时间通过QUARTUS环境编写VHDL代码-delay a time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:268681
    • 提供者:fdsa
  1. farrow

    0下载:
  2. 一份很好的数字时延程序(采用farrow算法),采用Verilog HDL,经过测试通过,是我一个雷达项目中的代替模拟时延的。精度很高,并有MATLAB程序验证-A good digital delay, Verilog HDL, procedures, is my test through a radar simulation project instead of the delay. Precision is high, and MATLAB validation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7234914
    • 提供者:左洪成
  1. FPGA-IIC

    0下载:
  2. 利用VHDL实现延时程序 很不错的资料 适合学习CHDL-Delay procedure using VHDL implementation very good information for learning CHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:240135
    • 提供者:qzl001
  1. nand_80

    0下载:
  2. 本设计是基于FPGA技术的VHDL语言开发的八十路与非门级联,实现延时-There is designed an eighty_nand2 standand logic for delay the time.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:374776
    • 提供者:huguoli
  1. a

    0下载:
  2. 顺序延时关断三盏灯开关,有VHDL完整程序-control the lights on and off
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:528028
    • 提供者:大龙
  1. The-question-of-time-delay

    0下载:
  2. 关于VHDL的时延问题的若干分析,可以借鉴-The analysie of time delay of Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:69725
    • 提供者:三木
  1. adc0804_vhdl

    0下载:
  2. ADC0804在FPGA上实现,主要采用VHDL语言编写,利用延时实现数模转换-ADC0804 implemented on FPGA using VHDL language, the use of delay to the digital to analog conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:214452
    • 提供者:lianglaing
  1. Tdiaantikonggh

    0下载:
  2. 本设计是本人的课程设计,一种基于VHDL的电梯控制器的设计,能够实现12层电电梯控制,上下开关,关门延时,提前关门,状态显示出来,通过波形仿真进行观看结果 -The design is my curriculum design, based on VHDL design of the elevator controller to achieve the 12-layer electric elevator control, and switch up and down, closing de
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:69021
    • 提供者:一群舰队
  1. delay

    0下载:
  2. 一个基于FPGA的VHDL编写的延时程序。-A delay procedures based on FPGA VHDL written.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:1476
    • 提供者:wuqi
  1. EDA

    0下载:
  2. 采用一种基于FPGA的IIR数字滤波器的设计方案,通过QuartusⅡ的设计平台,采用自顶向下的模块化设计思想将整个IIR数字滤波器分为:时序控制、延时、补码乘加和累加四个功能模块。分别对各模块采用VHDL进行描述后,进行了仿真和综合。-IIR digital filter using a FPGA-based design, analyzes the theory and design method of IIR digital filter, then through QuartusⅡ de
  3. 所属分类:OS Develop

    • 发布日期:2017-05-16
    • 文件大小:3626011
    • 提供者:wangqian
  1. example7_jtd

    0下载:
  2. VHDL实现交通灯,通过分频操作实现对灯的控制和延时,运用的多种分频时钟来控制进程。-VHDL to achieve traffic lights, through the frequency control and the frequency of the lamp control and delay, the use of a variety of frequency control clock to control the process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:27934
    • 提供者:张琼
  1. key_debounce

    0下载:
  2. 按键消抖操作,采用计数延时20ms的方式实现按键消抖,防止出现误按,VHDL和verilog-Button shaking operation, the use of counting delay 20ms way to achieve the key to shake, to prevent the error, VHDL and verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:2209
    • 提供者:d232
« 1 23 »
搜珍网 www.dssz.com